Console Output

Started by an SCM change
[EnvInject] - Loading node environment variables.
Building in workspace /var/lib/jenkins/workspace/Chisels-and-Bits
 > git rev-parse --is-inside-work-tree # timeout=10
Fetching changes from the remote Git repository
 > git config remote.origin.url https://github.com/AlgorithmX2/Chisels-and-Bits.git # timeout=10
Fetching upstream changes from https://github.com/AlgorithmX2/Chisels-and-Bits.git
 > git --version # timeout=10
 > git fetch --tags --progress https://github.com/AlgorithmX2/Chisels-and-Bits.git +refs/heads/*:refs/remotes/origin/*
Seen branch in repository origin/1.10
Seen branch in repository origin/1.10.2
Seen branch in repository origin/1.10_sharing
Seen branch in repository origin/1.8
Seen branch in repository origin/1.8.9
Seen branch in repository origin/1.9
Seen branch in repository origin/1.9.4
Seen branch in repository origin/gh-pages
Seen branch in repository origin/refactor_BitState
Seen branch in repository origin/sharing
Seen 10 remote branches
Checking out Revision f6240e1d00c1db212654f3dea3c744d6d862d5a4 (origin/1.10.2)
 > git config core.sparsecheckout # timeout=10
 > git checkout -f f6240e1d00c1db212654f3dea3c744d6d862d5a4
 > git rev-list 7427e7c628e435884b2fbc3ea6622a82da8faa5a # timeout=10
[Chisels-and-Bits] $ /bin/sh -xe /tmp/hudson2924623909260250301.sh
+ rm -rf build/libs
[Gradle] - Launching build.
[Chisels-and-Bits] $ /var/lib/jenkins/workspace/Chisels-and-Bits/gradlew -s clean setupCIWorkspace
This mapping 'snapshot_20160518' was designed for MC 1.9.4! Use at your own peril.
#################################################
         ForgeGradle 2.2-SNAPSHOT-c438b06        
  https://github.com/MinecraftForge/ForgeGradle  
#################################################
               Powered by MCP unknown               
             http://modcoderpack.com             
         by: Searge, ProfMobius, Fesh0r,         
         R4wk, ZeuX, IngisKahn, bspkrs           
#################################################
Found AccessTransformer: chiselsandbits_at.cfg
:clean
:extractMcpMappings SKIPPED
:deobfCompileDeobfDepTask0 SKIPPED
:deobfCompileDeobfDepTask1 SKIPPED
:deobfCompileRemapDepSourcesTask2 SKIPPED
:deobfCompileRemapDepSourcesTask3 SKIPPED
:deobfCompileDummyTask
:getVersionJson
:extractUserdev UP-TO-DATE
:downloadClient SKIPPED
:downloadServer SKIPPED
:splitServerJar SKIPPED
:mergeJars SKIPPED
:applyBinaryPatches SKIPPED
:deobfProvidedDummyTask
:extractDependencyATs SKIPPED
:extractMcpData SKIPPED
:genSrgs SKIPPED
:deobfMcMCP SKIPPED
:setupCiWorkspace

BUILD SUCCESSFUL

Total time: 50.836 secs

This build could be faster, please consider using the Gradle Daemon: https://docs.gradle.org/2.7/userguide/gradle_daemon.html
Build step 'Invoke Gradle script' changed build result to SUCCESS
[Gradle] - Launching build.
[Chisels-and-Bits] $ /var/lib/jenkins/workspace/Chisels-and-Bits/gradlew -s -Plocal_maven=/var/www/dvs1/files/maven reobf uploadArchives
This mapping 'snapshot_20160518' was designed for MC 1.9.4! Use at your own peril.
#################################################
         ForgeGradle 2.2-SNAPSHOT-c438b06        
  https://github.com/MinecraftForge/ForgeGradle  
#################################################
               Powered by MCP unknown               
             http://modcoderpack.com             
         by: Searge, ProfMobius, Fesh0r,         
         R4wk, ZeuX, IngisKahn, bspkrs           
#################################################
Found AccessTransformer: chiselsandbits_at.cfg
:extractMcpData SKIPPED
:extractMcpMappings SKIPPED
:getVersionJson
:extractUserdev UP-TO-DATE
:genSrgs SKIPPED
:deobfCompileDeobfDepTask0 SKIPPED
:deobfCompileDeobfDepTask1 SKIPPED
:deobfCompileRemapDepSourcesTask2 SKIPPED
:deobfCompileRemapDepSourcesTask3 SKIPPED
:deobfCompileDummyTask
:downloadClient SKIPPED
:downloadServer SKIPPED
:splitServerJar SKIPPED
:mergeJars SKIPPED
:applyBinaryPatches SKIPPED
:deobfProvidedDummyTask
:extractDependencyATs SKIPPED
:deobfMcMCP SKIPPED
:sourceApiJava
:compileApiJava UP-TO-DATE
:processApiResources UP-TO-DATE
:apiClasses UP-TO-DATE
:sourceMainJava
:compileJavawarning: [options] bootstrap class path not set in conjunction with -source 1.6
Note: Some input files use or override a deprecated API.
Note: Recompile with -Xlint:deprecation for details.
1 warning

:processResources
:classes
:jar
:reobfJar
:apiJar
:javadoc/var/lib/jenkins/workspace/Chisels-and-Bits/src/main/java/mod/chiselsandbits/api/IBitAccess.java:44: warning - @return tag has no arguments.
/var/lib/jenkins/workspace/Chisels-and-Bits/src/main/java/mod/chiselsandbits/bittank/TileEntityBitTank.java:252: warning - @return tag has no arguments.
/var/lib/jenkins/workspace/Chisels-and-Bits/src/main/java/mod/chiselsandbits/network/NetworkRouter.java:179: warning - @param argument "message" is not a parameter name.
/var/lib/jenkins/workspace/Chisels-and-Bits/src/main/java/mod/chiselsandbits/network/NetworkRouter.java:191: warning - @param argument "message" is not a parameter name.
/var/lib/jenkins/workspace/Chisels-and-Bits/src/main/java/mod/chiselsandbits/api/IChiselAndBitsAPI.java:182: warning - @formatter:off is an unknown tag.
/var/lib/jenkins/workspace/Chisels-and-Bits/src/main/java/mod/chiselsandbits/api/IgnoreBlockLogic.java:44: warning - @SuppressWarnings( is an unknown tag.
/var/lib/jenkins/workspace/Chisels-and-Bits/src/main/java/mod/chiselsandbits/api/IgnoreBlockLogic.java:44: warning - @Override is an unknown tag.
/var/lib/jenkins/workspace/Chisels-and-Bits/src/main/java/mod/chiselsandbits/items/ItemChisel.java:292: warning - @return tag has no arguments.

8 warnings
:javadocJar
:extractRangemapReplacedMain
/var/lib/jenkins/workspace/Chisels-and-Bits/build/sources/main/java
:retromapReplacedMain
remapping source...
:sourceJar
:sourcesJar
:uploadArchives

BUILD SUCCESSFUL

Total time: 3 mins 58.8 secs

This build could be faster, please consider using the Gradle Daemon: https://docs.gradle.org/2.7/userguide/gradle_daemon.html
Archiving artifacts
Recording fingerprints
Recording fingerprints
Collecting metadata...
Metadata collection done.
Started calculate disk usage of build
Finished Calculation of disk usage of build in 0 seconds
Started calculate disk usage of workspace
Finished Calculation of disk usage of workspace in 0 seconds
Finished: SUCCESS